编辑: ok2015 2015-09-24

第四章 组合逻辑的分析与设计 ――译码器&编码器 译码器(Decoder) ? 把表示一种代码的一组输入变量变换成另 一种代码的一组输出变量的一类组合逻辑 电路称为译码器.

? n个输入变量经编码,最多可产生2n个输 出.有些译码器产生的输出只是可能取值 范围的一部分. ? 例: C 3线-8线译码器(23=8) C 用于BCD码译码的4线-10线译码器(10

下载(注:源文件不在本站服务器,都将跳转到源网站下载)
备用下载
发帖评论
相关话题
发布一个新话题