编辑: GXB156399820 2019-07-18
http://ce.

ujs.edu.cn/~lf

第六章

第六章 程序控制原理与控制器 程序控制原理与控制器 http://ce.ujs.edu.cn/~lf 第第1 1节节概述 概述 控制器的设计方法 ? 硬布线控制(组合逻辑控制) ? 微程序控制

3

一、

一、 控制器基本组成 控制器基本组成 ?程序计数器PC ?(Program Counter) ?存放即将执行的指令的地址. ?具有自增功能. ?复位状态 ?指令寄存器IR ?(Instruction Register) ?存放当前正在执行的指令. ?程序状态字PSW ?存放当前指令执行结果的特征;

?存放控制信息,如方向标志DF, 中断允许标志IF等. ?时序部件 ?用来产生各种时序信号 ?微操作形成部件 ?产生控制指令执行的微操作控制 信号. ?重点:控制器的实现方式 ?硬布线控制 ?组合逻辑控制器 ?微程序控制 时序 部件 微操作 形成部件 IR PC PSW +2PC DB AB …… … …

4

二、

二、 指令执行基本过程 指令执行基本过程 (1)取指令 (2)取操作数 (3)执行指令 取指令 执行指令 取操作数 操作数 在内存 无操作数或 操作数在寄 存器中

5

三、组合逻辑控制器的时序信号

三、组合逻辑控制器的时序信号 (1)节拍周期 (T

0、T1…) 完成一些最基本的操作所需的时间.例如,一次ALU运算的 时间,一次通过总线的数据传送. (2)CPU周期(机器周期) 取指令周期(FIC)、取操作数周期(FDC)、执行周期(EXEC). ? 周期长度固定:包含固定个数的节拍周期.例如:与存储器 的存取周期相同(也与总线周期相同). ? 周期长度不固定:包含节拍周期的个数不固定. (3)节拍脉冲 (P

0、P1…) 作为寄存器(触发器)的打入脉冲.一个节拍周期中可包含几 个节拍脉冲. (4)指令周期 执行一条指令的时间.不固定. 时序图

6 组合逻辑控制器的时序信号 组合逻辑控制器的时序信号 CPU周期 节拍周期 指令周期 节拍脉冲

7 R S Q & 晶振……CPU周 期信号 发生器 节拍周 期信号 发生器 节拍脉 冲信号 发生器 启动 停止 取指周期 取数周期 执行周期 T0 T1 Tn P0 P1 Pn 时序系统组成框图 时序系统组成框图

8 ?循环移位寄存器法 Q Q Q Q R R R S D D D D CP CP CP CP T3 T2 T1 T0 CLR clock 节拍周期信号发生器 节拍周期信号发生器 时序图 缺点:当节拍周期个数较多时(如64),需要的触发器个数同样多

9 CLR R Q Q T T CP CP

1 clock 2-4译码器 R T0 T1 T2 T3

00 01

11 10 节拍周期信号发生器(续) 节拍周期信号发生器(续) ?计数器和译码器法 clock C0 C1 T0(00) T1(01) T2(10) T3(11) C0 C1 优点:使用的触发器个数较少

10 节拍脉冲信号发生器 节拍脉冲信号发生器 RESET R R CP D CP D Q Q Q C1 C0 clock 2-4译码器 P0 P1 P3 P2

00 01

11 10 clock C0 C1 P0(00) P1(01) P2(11) P3(10) 复位 复位之后第一个脉 冲是P1,不是P0. P210 图6.5

11 节拍脉冲发生器的 节拍脉冲发生器的HDL HDL描述( 描述(实验六 实验六) ) RESET R R CP D CP D Q Q Q C1 C0 2-4译码器 CLOCK P0 P1 P3 P2

00 01

11 10 ?C0, C1的触发边沿和前面 的图相反;

?增加一个时钟使能RUN RUN

12 节拍脉冲发生器的 节拍脉冲发生器的VHDL VHDL描述( 描述(实验六 实验六) ) architecture Behavioral of Sequencer is signal C:std_logic_vector(1 downto 0);

begin process(CLOCK, nReset) --C0触发器 begin if (nRESET='0') then --异步复位,低电平有效 C(0)

下载(注:源文件不在本站服务器,都将跳转到源网站下载)
备用下载
发帖评论
相关话题
发布一个新话题