编辑: 芳甲窍交 2013-04-17

105 6-15 IDLE 进入和退出时序.106 6-16 STANDY 进入和退出时序.108 6-17 使用 XNMI 的HALT 唤醒

109 6-18 PWM 输出时序

110 6-19 TDIRx 时序

111 6-20 EVASOC时序.111 版权 ? 2001C2012, Texas Instruments Incorporated 图片列表

5 TMS320F2810, TMS320F2811, TMS320F2812 TMS320C2810, TMS320C2811, TMS320C2812 ZHCS894T CAPRIL 2001CREVISED MAY

2012 www.ti.com.cn 6-21 EVBSOC时序.111 6-22 外部中断时序

112 6-23 通用输出时序

113 6-24 GPIO 输入限定符 - 针对 QUALPRD=1 的示例图.114 6-25 通用输入时序

115 6-26 SPI 主控模式外部时序(时钟相位 = 0)117 6-27 SPI 主控外部时序(时钟相位 = 1)120 6-28 SPI 受控模式外部时序(时钟相位 = 0)122 6-29 SPI 受控模式外部时序(时钟相位 = 1)124 6-30 XTIMCLK 和SYSCLKOUT 之间的关系.128 6-31 示例读取访问

131 6-32 示例写入访问

132 6-33 使用同步 XREADY 访问的样本读取.134 6-34 使用异步 XREADY 访问的样本读取.135 6-35 使用同步 XREADY 访问的写入

137 6-36 使用异步 XREADY 访问的写入

138 6-37 外部接口保持波形.140 6-38 XHOLD/XHOLDA时序要求 (XCLKOUT = 1/2 XTIMCLK)141 6-39 ADC 模拟输入阻抗模型

145 6-40 ADC 加电控制位时序.145 6-41 顺序采样模式(单通道)时序

146 6-42 同步采样模式时序.147 6-43 McBSP 接收时序.151 6-44 McBSP 发送时序.151 6-45 作为 SPI 主控或者受控时的 McBSP 时序:CLKSTP=10b,CLKXP=0

152 6-46 作为 SPI 主控或者受控时的 McBSP 时序:CLKSTP= 11b,CLKXP= 0.153 6-47 作为 SPI 主控或者受控时的 McBSP 时序:CLKSTP= 10b,CLKXP= 1.154 6-48 作为 SPI 主控或者受控时的 McBSP 时序:CLKSTP= 11b,CLKXP= 1.155

6 图片列表 版权 ? 2001C2012, Texas Instruments Incorporated TMS320F2810, TMS320F2811, TMS320F2812 TMS320C2810, TMS320C2811, TMS320C2812 www.ti.com.cn ZHCS894T CAPRIL 2001CREVISED MAY

2012 图

图表 表列 列表 表2-1 硬件特性

13 2-2 信号说明

17 3-1 F2812 和F2811 中的闪存扇区地址.30 3-2 F2810 中闪存扇区的地址.30 3-3 等待状态

31 3-4 引导模式选择.33 3-5 使用安全代码模块的影响

34 3-6 外设帧

0 寄存器

38 3-7 外设帧

1 寄存器

38 3-8 外设帧

2 寄存器

39 3-9 器件仿真寄存器.40 3-10 XINTF 配置和控制寄存器映射

42 3-11 XREVISION 寄存器位定义

42 3-12 PIE 外设中断.44 3-13 PIE 配置和控制寄存器

45 3-14 外部中断寄存器.46 3-15 PLL、计时、安全装置和低功率模式寄存器

48 3-16 PLLCR 寄存器位定义

50 3-17 可能的 PLL 配置模式

51 3-18 F281x 和C281x 低功耗模式.53 4-1 CPU 定时器 0,1,2 配置和控制寄存器

56 4-2 针对 EVA 和EVB 的模块和信号名称

57 4-3 EVA 寄存器

58 4-4 ADC 寄存器

66 4-5 3.3V eCAN 收发器用于 TMS320F281x 和TMS320C281x DSP.69 4-6 CAN 寄存器

71 4-7 McBSP 寄存器.74 4-8 SCI-A 寄存器.77 4-9 SCI-B 寄存器.77 4-10 SPI 寄存器.80 4-11 GPIO 复用寄存器.82 4-12 GPIO 数据寄存器.83 5-1 TMS320x281x 外设选择指南

86 6-1 在150MHz SYSCLKOUT 上的低功耗模式期间的推荐运行条件下,电源引脚的 TMS320F281x 流耗

91 6-2 在150MHz SYSCLKOUT 上的低功耗模式期间的推荐运行条件下,电源引脚的 TMS320C281x 流........

下载(注:源文件不在本站服务器,都将跳转到源网站下载)
备用下载
发帖评论
相关话题
发布一个新话题